Tuesday, July 8, 2014

Starting to Learn Django
Currently working on learning django. It does not seem to tricky but like with any package the devil is in the details. I will let you know how it goes.

Friday, August 23, 2013

Pymcu is Fun.

I started to the use the pymcu device.

 http://www.circuitsforfun.com/pymcu.html

What a cool little device. I have been using it to modulate the speed of fans for testing power usage on a server.  I really find the pulse width modulation feature very simple to use. It help me get my job done.

I think I am going to have some fun with this with my son on some school projects.

Friday, December 3, 2010

Abstract for TAIPAN

Data rates, chip features, and channel counts continue to increase and as a consequence the number of physical tests required to validate IP is increasing as well. In order to tackle the increasing amount of testing, TAIPAN(Test Automation In Python Anew), a test automation solution capable of repeating a large amount of measurements with a diverse set of both legacy and state of the art equipment is used to perform much of this testing. This presentation presents TAIPAN as the automation solution currently used by the NMG High Speed Signal Integrity team in order meet its testing challenges. As a consequence we are able to collaborate with Design, Test, and Validation to accomplish performance testing of products such as P4080, P5202, P1022 … In this test system Design is able to provide a document containing a concise description of the required test suites, Test translates these documents into tcl scripts that are run either on the tester on in the lab, and finally HSSI uses TAIPAN to tie the communication between DUT and test equipment. TAIPAIN uses these tcl scripts to drive the validation of the product.

Friday, August 7, 2009

Trying to understand the comparison of between Mathematica, Matlab, and Python

I found this comparison between Mathematica, Matlab, and Python. However, I was curious what the python community at large thought about the python code used to do the comparison. I am not quite sure this is a fair look at the different languages. It appears that the author is comparing the relative simplicity of the code. Any thoughts?

http://www.larssono.com/musings/matmatpy/index.html

Saturday, July 11, 2009

LabView and Python

I have found that in many labs one runs into quite a bit of what I call boxes and triangle code, also known as LabView. I found that this article was interesting because using python to read LabView binary data. It can be often useful to beable to use data collected from systems that where previously developed in a LabView enviroment.

http://www.shocksolution.com/2008/06/25/reading-labview-binary-files-with-python/

Sunday, July 5, 2009

Test Automation In Python ANew - (TAIPAN)

Enthought recently wrote up this example of how TAIPAN. (The automation suite that I work on!) is helping Freescale with thier signal integrity requirements.

http://www.enthought.com/consulting/taipan.php

I will be posting more about the application as I talk about using python to automate test.

Friday, July 3, 2009

Using Python to Characterize Semicondutors

For serveral years I have been working on characterizing semicondcutors using equipment such as Oscilloscopes, Spectrum Analyzers, RF Sources and whole assortment of measurement equipment. I quickly noticed definate patterns assoicated with the test that I was performing making them ideal for automation. In addition, many of the standards such as PCIe, SRIO, Xaui and SGMII include very time intensive requirements all needing to be verifed through test. For example a simple receive tolerance test can take litterally days to complete depending on the required confidence level and number of features that a particular transmiter, receiver pair offer. In all of my testing I have found that Python is can be used to make this test simpler. In the following blog I hope to share some of the code and tricks that I have used to do this type of testing.